项目名称: 多值共振遂穿电路设计方法研究

项目编号: No.61302009

项目类型: 青年科学基金项目

立项/批准年度: 2014

项目学科: 无线电电子学、电信技术

项目作者: 林弥

作者单位: 杭州电子科技大学

项目金额: 26万元

中文摘要: 随着器件特征尺寸不断减小,对器件性能的要求越来越高,摩尔定律和按比例缩小的方法已经不再满足集成电路发展要求。基于量子效应的共振隧穿器件比传统的晶体管等更适合未来集成电路的发展,超高速、超高频、超高集成度、高效低功耗、设计灵活等特点和物理结构决定了其在多值逻辑设计中的可行性及稳健性。而应用多值逻辑能有效减小集成电路芯片面积与引脚以及系统间的接线,在信号传输和存储方面有着显著的优点。共振隧穿器件以及多值逻辑将是未来集成电路发展的趋势之一。 因此本项目将从电路与系统层面出发,研究多值共振隧穿电路的设计方法,提出基于共振隧穿二极管的多值开关电路模型,建立开关状态阈值表,构建多值翻转-传输代数系统,定义联结运算及数学符号,设计联结子运算电路结构,优化多值真值表及扩展三维多值卡诺图,探讨多值开关电路模型及代数系统在共振隧穿多值基本逻辑单元和多值存储电路设计中的应用。本课题对多值共振隧穿电路的设计具有重要意义,提供了全新的、简单的设计思路和设计方法,可用于指导更高值和更复杂电路结构的设计,为基于共振隧穿器件的多值数字集成电路发展奠定了理论基础。

中文关键词: 共振遂穿;多值逻辑;电学特性分析;器件建模;设计方法

英文摘要: With the characteristic dimension of devices has been decreased to nanometer scale, and more and more requirements to the high performance of devices, the Moore's Law and scaling rule could not satisfy the development of VLSI. Resonant tunneling devices based on the quantum effect are more suitable than the traditional transistors in the future development of IC, its characteristics of ultra-high-speed, ultra-high-frequency, ultra-high-integration density, high efficiency, low power loss, design flexibility and the physical structure determine its feasibility and robustness in the multiple-valued logic design. The applications of multiple-valued logic can effectively reduce chip area and the pins as well as wiring between the systems, and have the remarkable merits in the signal transmission and storage. Resonant tunneling devices and multiple-valued logic will be one of the development trends of integrated circuit in the future. Therefore in this project we will focus on the research of design methods for the multiple-valued resonant tunneling circuits from the circuit and system level, propose the multiple-valued resonant tunneling diode switch circuit model, establish the switch threshold table, create the multiple-valued switch-transmission algebra system, define correlation operations and mathematical sig

英文关键词: Resonant tunneling;Multiple-valued logic;Electrical property analysis;Device modeling;Design methods

成为VIP会员查看完整内容
0

相关内容

深度神经网络 FPGA 设计进展、实现与展望
专知会员服务
57+阅读 · 2022年3月26日
【博士论文】分形计算系统
专知会员服务
32+阅读 · 2021年12月9日
专知会员服务
209+阅读 · 2021年8月2日
专知会员服务
18+阅读 · 2021年6月29日
专知会员服务
92+阅读 · 2021年6月23日
专知会员服务
29+阅读 · 2021年1月9日
【博士论文】解耦合的类脑计算系统栈设计
专知会员服务
29+阅读 · 2020年12月14日
深度学习模型终端环境自适应方法研究
专知会员服务
31+阅读 · 2020年11月13日
专知会员服务
73+阅读 · 2020年5月21日
「深度神经网络 FPGA 」最新2022研究综述
专知
3+阅读 · 2022年3月26日
工程设计论——如何写好工程代码
阿里技术
0+阅读 · 2022年2月15日
【博士论文】分形计算系统
专知
2+阅读 · 2021年12月9日
基于规则的建模方法的可解释性及其发展
专知
4+阅读 · 2021年6月23日
手把手教 | 深度学习库PyTorch(附代码)
数据派THU
27+阅读 · 2018年3月15日
国家自然科学基金
0+阅读 · 2015年12月31日
国家自然科学基金
0+阅读 · 2014年12月31日
国家自然科学基金
0+阅读 · 2014年12月31日
国家自然科学基金
0+阅读 · 2013年12月31日
国家自然科学基金
0+阅读 · 2012年12月31日
国家自然科学基金
0+阅读 · 2012年12月31日
国家自然科学基金
0+阅读 · 2009年12月31日
国家自然科学基金
0+阅读 · 2008年12月31日
Arxiv
0+阅读 · 2022年5月4日
Arxiv
0+阅读 · 2022年4月30日
Arxiv
12+阅读 · 2021年5月3日
小贴士
相关VIP内容
深度神经网络 FPGA 设计进展、实现与展望
专知会员服务
57+阅读 · 2022年3月26日
【博士论文】分形计算系统
专知会员服务
32+阅读 · 2021年12月9日
专知会员服务
209+阅读 · 2021年8月2日
专知会员服务
18+阅读 · 2021年6月29日
专知会员服务
92+阅读 · 2021年6月23日
专知会员服务
29+阅读 · 2021年1月9日
【博士论文】解耦合的类脑计算系统栈设计
专知会员服务
29+阅读 · 2020年12月14日
深度学习模型终端环境自适应方法研究
专知会员服务
31+阅读 · 2020年11月13日
专知会员服务
73+阅读 · 2020年5月21日
相关资讯
相关基金
国家自然科学基金
0+阅读 · 2015年12月31日
国家自然科学基金
0+阅读 · 2014年12月31日
国家自然科学基金
0+阅读 · 2014年12月31日
国家自然科学基金
0+阅读 · 2013年12月31日
国家自然科学基金
0+阅读 · 2012年12月31日
国家自然科学基金
0+阅读 · 2012年12月31日
国家自然科学基金
0+阅读 · 2009年12月31日
国家自然科学基金
0+阅读 · 2008年12月31日
微信扫码咨询专知VIP会员