The recent breakthroughs of deep neural networks (DNNs) and the advent of billions of Internet of Things (IoT) devices have excited an explosive demand for intelligent IoT devices equipped with domain-specific DNN accelerators. However, the deployment of DNN accelerator enabled intelligent functionality into real-world IoT devices still remains particularly challenging. First, powerful DNNs often come at prohibitive complexities, whereas IoT devices often suffer from stringent resource constraints. Second, while DNNs are vulnerable to adversarial attacks especially on IoT devices exposed to complex real-world environments, many IoT applications require strict security. Existing DNN accelerators mostly tackle only one of the two aforementioned challenges (i.e., efficiency or adversarial robustness) while neglecting or even sacrificing the other. To this end, we propose a 2-in-1 Accelerator, an integrated algorithm-accelerator co-design framework aiming at winning both the adversarial robustness and efficiency of DNN accelerators. Specifically, we first propose a Random Precision Switch (RPS) algorithm that can effectively defend DNNs against adversarial attacks by enabling random DNN quantization as an in-situ model switch. Furthermore, we propose a new precision-scalable accelerator featuring (1) a new precision-scalable MAC unit architecture which spatially tiles the temporal MAC units to boost both the achievable efficiency and flexibility and (2) a systematically optimized dataflow that is searched by our generic accelerator optimizer. Extensive experiments and ablation studies validate that our 2-in-1 Accelerator can not only aggressively boost both the adversarial robustness and efficiency of DNN accelerators under various attacks, but also naturally support instantaneous robustness-efficiency trade-offs adapting to varied resources without the necessity of DNN retraining.


翻译:最近深层神经网络(DNN)的突破和数十亿互联网(IOT)装置的出现,刺激了对智能智能的IOT装置的爆炸性需求,这些装置配备了特定域的 DNN 加速器。然而,DNN 加速器的部署使得智能功能进入现实世界的 IOT 装置仍然特别具有挑战性。首先,强大的 DNN 加速器往往具有令人望而却步的复杂性,而IOT 装置往往受到严格的资源限制。第二,虽然DNN 容易受到对抗性攻击,特别是暴露在复杂的现实世界环境中的IOT装置,但许多IOT 应用程序需要严格的安全。现有的DNNN 加速器大多只应对上述两个挑战之一(即效率或对抗性强力),而忽略甚至牺牲其他。为此,我们提议一个2进1加速器,一个综合算器-加速器联合设计框架,目的是要赢得DNNE加速器的对抗性强势性、效率,而DNNE(P) 也提议一个可调动的硬性硬性硬性硬性硬性硬性硬性结构转换。

0
下载
关闭预览

相关内容

专知会员服务
109+阅读 · 2020年3月12日
[综述]深度学习下的场景文本检测与识别
专知会员服务
77+阅读 · 2019年10月10日
人工智能 | 国际会议信息10条
Call4Papers
5+阅读 · 2018年12月18日
已删除
AI科技评论
4+阅读 · 2018年8月12日
Hierarchical Imitation - Reinforcement Learning
CreateAMind
19+阅读 · 2018年5月25日
Auto-Encoding GAN
CreateAMind
7+阅读 · 2017年8月4日
Arxiv
0+阅读 · 2021年10月29日
Arxiv
0+阅读 · 2021年10月29日
Arxiv
6+阅读 · 2021年3月30日
Arxiv
5+阅读 · 2020年10月22日
Arxiv
38+阅读 · 2020年3月10日
Feature Denoising for Improving Adversarial Robustness
Arxiv
15+阅读 · 2018年12月9日
VIP会员
相关资讯
人工智能 | 国际会议信息10条
Call4Papers
5+阅读 · 2018年12月18日
已删除
AI科技评论
4+阅读 · 2018年8月12日
Hierarchical Imitation - Reinforcement Learning
CreateAMind
19+阅读 · 2018年5月25日
Auto-Encoding GAN
CreateAMind
7+阅读 · 2017年8月4日
相关论文
Arxiv
0+阅读 · 2021年10月29日
Arxiv
0+阅读 · 2021年10月29日
Arxiv
6+阅读 · 2021年3月30日
Arxiv
5+阅读 · 2020年10月22日
Arxiv
38+阅读 · 2020年3月10日
Feature Denoising for Improving Adversarial Robustness
Arxiv
15+阅读 · 2018年12月9日
Top
微信扫码咨询专知VIP会员